收藏本站 | 论文目录

关键词: python matlab plc 单片机 dsp fpga 仿真 stm32

当前位置: 毕业论文设计参考 >> 电子电气通信 >> 通信工程本科论文

电梯控制器实现与仿真设计

[关键词:电梯控制器,仿真]  [热度 ]
提示:此毕业设计论文完整版包含【开题报告,任务书,论文,答辩稿
作品编号:txgc0169,word全文:30页,合计:9000

以下仅为该作品极少介绍,详细内容请点击购买完整版!
电梯控制器实现与仿真设计毕业设计论文------

本设计以EDA软件为工具,FPGA 芯片为核心,运用EDA软件工具Quartus II,设计的各种功能用Verilog HDL语言来进行描述,而编译、仿真、调试及综合则通过在集成软件环境中进行。用FPGA芯片来实现6层电梯控制系统,电梯的进口和里面都安装了上下楼请求开关,乘客可自己选择要抵达的楼层。电梯的运转是以方向优先为准则的,也就是说当电梯上升的时侯只会响应上升的信号,下降的时候只响应下降的信号,直到电梯到达最顶层或者最底层,然后继续切换到另一状态模式运行。电梯具备有延时的功能,而且能够精确明显的表现出电梯的运行状态和电梯实时所处楼层。

电梯上运行波形软件仿真图

当一楼和四楼都有人要到六楼,led从一楼显示到六楼,ud_f的标志显是为上,但在一楼和四楼分别停留了五个周期,这就是我程序中的开门。电梯在到达六楼的时候一直没有请求,所以停在了六楼。

电梯下运行的波形软件仿真图

六楼有乘客要下楼,led分别从一楼显示到六楼,ud_f的标志显示为上,在六楼停留五个周期后,切换为下降状态并且ud_f的标志显示为下,而人们要到达的楼层分别是三楼和一楼,所以在三楼和一楼分别停留了五个周期,之后因为没有请求所以停留在一楼。如图4.5显示

电梯上下运行的波形软件仿真图

六楼有人要下,如图4.6显示,四楼有人要上要下,按照方向为第一优先准则,由于电梯处于上升状态,所以电梯先要运行到四楼直接是上升的状态,把四楼的乘客送到六楼后,电梯开始下降,把原来要下降的乘客在送到要到的楼层,送到目的楼层一楼后,如果没有其他的请求,电梯会一直停在一楼。

研究目标

本课题要用FPGA设计实现一个6层电梯的控制系统,主要包含硬件部分和软件部分,硬件部分完成模拟电梯控制所需控制电路和显示部分,软件部分通过硬件描述语言完成电梯控制的整个过程。两者协调工作可以完成电梯控制的整个过程。电梯入口和内部设有请求上下开关,供乘客选择到达楼层。电梯运行遵循方向优先原则,即上升(下降)时只响应上升(下降)信号,直到执行完最顶层(底层),再转入另一模式。电梯具有延时功能,并能够正确显示电梯的运行情况、电梯所在楼层。

主要特色

用FPGA设计实现,利用QuartusII软件采用硬件描述语言编写程序,能系统监控电梯的工作情况。

达到了较为理想的控制效果[6-8]。

三、本课题研究内容

基于FPGA技术的电梯控制系统的功能模块主控制器、楼层选择器、状态显示器、译码器和楼层显示器。结合自己所学知识,联系实际生活,设计一个具有上下升降控制,能系统监控电梯的工作情况等功能的电梯控制器。利用QuartusII软件采用硬件描述语言编写六层电梯移动过程,包括(电梯到达楼层时能发出指示信号、电梯的门操作具有关门延时设置等)并在可编程数字开发系统实验平台上进行仿真实验。

四、本课题研究方案

基于FPGA技术的电梯控制系统的设计有多种,下面我简单介绍以下几个方案:

方案一:用普通PLC外加若干导线进行简易的开发和实验。PLC实验装置具有直观,使用方便的优点,通常配有各种工业控制模板,可以形象地模拟工业现场控制。若无PLC实验装置,也可直接使用PLC配以外部连接导线,给出必要的输入信号进行实验。

方案二:单片机和FPGA结合实现其全部功能。此方案的优点是系统比较稳定、抗干扰能力强,便于控制。用FPGA作为系统的核心控制模块,单片机作为按键和显示模块。

方案三:实现原理主要利用EDA层次设计思想,运用QuartusII软件的操作方法,首先完成三个模块的底层文件和一个模块顶层文件设计,此四个模块分别是分频模块,,顶层模块数码管显示模块,计数器模块;利用原理图输入设计的方法将此四个模块做成整个控制器的设计。本次设计选用此种方案。

 

 


以上仅为该作品极少介绍,详细内容请点击购买完整版!

提示:此毕业设计论文完整版包含【开题报告,任务书,论文,答辩稿
作品编号:txgc0169,word全文:30页,合计:9000

本通信工程毕业设计论文作品由 毕业论文设计参考 [http://www.qflunwen.com] 征集整理——电梯控制器实现与仿真设计(开题报告,任务书,论文,答辩稿)!