收藏本站 | 论文目录

关键词: python matlab plc 单片机 dsp fpga 仿真 stm32

当前位置: 毕业论文设计参考 >> 电子电气通信 >> 通信工程本科论文

基于Verilog HDL语言的自动售货机的设计

[关键词:HDL,自动售货机]  [热度 ]
提示:此毕业设计论文完整版包含【开题报告,任务书,论文,答辩稿
作品编号:txgc0421,word全文:26页,合计:11000

以下仅为该作品极少介绍,详细内容请点击购买完整版!
基于Verilog HDL语言的自动售货机的设计毕业设计论文------

根据自动售货机的工作原理,设计的自动售货机里面的诸多信号和功能,都将使用一块特定的可集成的逻辑器件来实现,通过利用Verilog HDL硬件描述语言来进行编程,然后使用MAX+plus II系统平台来进行对程序的仿真。这次的方案里面,将售出两种可乐,并且设定了四种状态。通过编写程序和修改程序,然后在通过系统的仿真,从而得到了此次设计的正确性,并且达到了预定的目标。

研究内容:

本课题所要完成的东西是使用Verilog HDL语言来进行设计,并要完成以下内容。

具体实现内容如下:

(1)将两种不同的饮料放入自动售货机中,将其中一种传统型饮料的价格设置为1元,另一种减肥型饮料价格设置为2.5元。

(2)设置顾客在购买饮料的时候只能一次性购买一瓶,并且只接受0.5元的硬币和1元的硬币。如果所投入的钱币总额不够,将取消操作,退款。

(3)顾客投入足够的钱币之后,选择和购买产品,同时多余的钱找回。

研究方法:

以自动售货机的有限状态机设计为切入点,研究基于Verilog HDL为核心的自动售货机控制器设计,同时利用Max+Plus II软件来进行仿真跟编程。自动售货机主要包括下面四个状态:

(1)初始状态:各变量为零,按下复位键,一切回到初始状态。

(2)选商品状态:只有两种罐装可乐,传统型的售价为1元,减肥型的售价为2.5元。在每一个产品的选择时,设置一个标志位,指示了挑选商品的状态。这个自动售货机只能够选择每罐可乐,当两种同时购买时,则表示这次的选择没有用,进行下一个操作。

(3)投币状态:具有一个标志来指示所投硬币的面额,只接受1元和0.5元的硬币。

(4)找零状态:完成投硬币,并确认购买的商品。进入退币状态。

根据这个课题的任务和所要达到得指标来确定总体的设计方案,先画出总体所需要的系统框图,通过分析,设计、编译和仿真最下层的单元电路,利用已经编好,并纠正过了的最下层的单元电路模块,原理图要画最上层的电路,最后编译调试和模拟调试。这些都将在Max+Plus II软件来进行和完成设计。

输入:观察上图,可以得到,在200.0ns开始到400.0ns这段时间里面,应该投入面额为0.5元的硬币,在400.0ns到800.0ns这段时间里面,应该投入面额为1元的硬币,其状态变化从0到07,此时实际投入的资金为2.5元。购买减肥型可乐的信号在800.0ns到1.0us这个时间段里面给出,系统时钟信号高电平持续有效。

输出:从上图可以看出,投币显示变化从0H到5H是发生在200.0ns到800.0ns这段里面,可以用二进制表示为0000—0101,要知道投入的硬币金额可以通过相应的状态编码得到,由此,可以看出为2.5元,正好可以验证前面状态的正确性,当得到减肥型可乐购买的信号,出售饮料的变量从低电平0变化为高电平2,表明已成功售出传统型可乐,找零信号没有变化,此时得到没有硬币找回,表示不要找零,此时状态变化从07变为初始状态,所以该仿真结果符合现实中的购买过程。

 

 


以上仅为该作品极少介绍,详细内容请点击购买完整版!

提示:此毕业设计论文完整版包含【开题报告,任务书,论文,答辩稿
作品编号:txgc0421,word全文:26页,合计:11000

本通信工程毕业设计论文作品由 毕业论文设计参考 [http://www.qflunwen.com] 征集整理——基于Verilog HDL语言的自动售货机的设计(开题报告,任务书,论文,答辩稿)!
相关毕业设计
    没有关键字相关信息!